18 - 05 - 2024
Login Form



 


Share this post

Submit to FacebookSubmit to TwitterSubmit to LinkedIn

Today, at the annual Hot Chips Conference in Cupertino, California, Intel presented details about the company’s EMIB (Embedded Multi-die Interconnect Bridge) packaging technology. Developed by Intel, EMIB facilitates high-speed communication between multiple die in-package, and is a key component of Intel’s mix-and-match heterogeneous computing strategy. EMIB is used in Intel® Stratix® 10 FPGAs and 8th Gen Intel® Core™ processors with Radeon Graphics.

monolithic vs heterogeneous infographic thumb